Verilog in One Shot | Verilog for beginners in Hindi

Ғылым және технология

Dive into Verilog programming with our intensive 3-hour video lecture, designed for beginners!
In this concise series, you'll grasp Verilog syntax, module instantiation, behavioral modeling, and more. 🛠️ Get hands-on with real-world examples and practical exercises to solidify your understanding. 💡
Join our Telegram group for more discussion and get some outstanding materials for exams and interviews : t.me/vlsipoint
Reference- verilog HDL : A Guide to Digital Design and Synthesis
By Samir palnitkar
#vlsipoint #verilog #HDL #verilog_vs_c #RTL #verilog_in_hindi
#complete_verilog_course #FPGA #ProgrammingTutorial

Пікірлер: 24

  • @jyotiranjan7062
    @jyotiranjan70622 ай бұрын

    Amazing video....today I have interview & it helped me a lot

  • @pranjalsharma6034

    @pranjalsharma6034

    21 күн бұрын

    Hii bro job profile kya hai apki jisme apki job lagi

  • @its_tanweer_khan
    @its_tanweer_khan26 күн бұрын

    It was a good course, I enjoyed studying it and I understood it too. My one request to you is that next time when you make a video please show it practical as well. Also do simulation on EDA tools like model sim etc. Please please Everyone has made KZread videos on Verilog, given an introduction. They have taught it but no one has done practical . No one has done simulation. No one has made us write the verification code and then executed it. plz mam plz its humble request , plzzzzzzzzzzzzzzzzzzzzzzzz😮‍💨😮‍💨😮‍💨😮‍💨

  • @vlsipoint

    @vlsipoint

    25 күн бұрын

    sure, will add the simulation part too

  • @reddysura2240
    @reddysura22402 ай бұрын

    How to apply to plz let we know madam

  • @Anonymous-ys3vu
    @Anonymous-ys3vu16 күн бұрын

    mam plz share this pdf

  • @shanvirani1978
    @shanvirani1978Ай бұрын

    Very good content Easy to understand

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    Thanks for watching! stay connected

  • @Venu_gopal29
    @Venu_gopal292 ай бұрын

    Please do the same one in English mam!!🙏🙏

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    English video will be uploaded by tomorrow

  • @nishasingh8806
    @nishasingh8806Ай бұрын

    Can u share the pdf??

  • @vlsipoint

    @vlsipoint

    26 күн бұрын

    Ping me on linkedin, will share the pdf

  • @parthsonawane4745
    @parthsonawane4745Ай бұрын

    22:26 pehle outputs and then inputs likha toh chalta (in brackets)hai na madam@VLSI Point

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    yes

  • @khushbuddinalam5552
    @khushbuddinalam5552Ай бұрын

    MM NIT durgapur ka VLSI kaisa hai please

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    As I know, it's average....if you are not getting a better one then go with it.

  • @reddysura2240
    @reddysura22402 ай бұрын

    Madam y can not u say how to get internship in vlsi

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    Check out this playlist, I have explained the roadmap for both full time jobs and internship in detail kzread.info/head/PL_3xKnVkfI2hcT4DPA7ez9zhZH_8s3uki

  • @techzila5379
    @techzila5379Ай бұрын

    ma;am, can i get the pdf of this?

  • @vlsipoint

    @vlsipoint

    26 күн бұрын

    Ping me on linkedin, will share the pdf

  • @ravindrakorada8955
    @ravindrakorada8955Ай бұрын

    Mam please doing vedios in English we south indian i'dont khow Hindi language.

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    sure, will take care of that

  • @ravindrakorada8955
    @ravindrakorada8955Ай бұрын

    Please doing upcoming vedios in English.

  • @vlsipoint

    @vlsipoint

    Ай бұрын

    English video is coming by tonight

Келесі