Learn VERILOG for VLSI Placements for FREE | whyRD

Ғылым және технология

Learn Verilog with Practice : www.whyrd.in/s/store A realistic approach for learning Verilog in 30 days
This video resources :
_____________________________________________________
Verilog Sample questions/concepts: www.asic.co.in/Index_files/ver...
www.asic-world.com/verilog/que...
Verilog nptel : • Lecture 1 Introduction...
How much coding do you need: • Do VLSI Engineer need ...
Practice Verilog for free: HDLbits : hdlbits.01xz.net/wiki/Main_Page
Bonus Resource:
Quora profile of Palash: www.quora.com/profile/Palash-...
_____________________________________________________________________
Watch Next:
VLSI internview tutorials playlist : • EP1:Trending questions...
VLSI Design EDA for free : • Practice VLSI design f...
Best 10 Free VLSI courses: • 10 Best NPTEL Course f...
Ingredient for strong VLSI CV: • VLSI Hackathon/Maratho...
How Much coding did a VLSI engineer need: • Do VLSI Engineer need ...
VLSI future of workplace: • Is WFH is Past for VLS...
VLSI vs PSU: • Electronics Engineer's... VLSI front end vs back end: • VLSI All Jobs Explaine... Best 10 VLSI courses: • 10 Best NPTEL Course f...
Will automation will kill VLSI: • VLSI Future in the age...
___________________________________________________________________
You need just 30 days to learn the language of VLSI design, a must for all front-end digital profile jobs and also a must-know domain for all VLSI engineers.
About myself: Hi, I am Rajdeep Mazumder, I did my MTech from IIT Delhi in Radiofrequency design and technology. Presently I am working as a hardware engineer with Intel. I am an engineering enthusiast and daily meditator and want to build hardcore engineering teaching as my profession.
follow me on
LinkedIn- / rajdeep-mazumder
instagram- / rajdeep.jgd
_________________________________
Time Stamps
00:00. Is 30 days enough for Verilog ?
00:39 Video contents
01:21 Why Verilog is different?
02:10 Day 1-5 Revision
04:45 What does learning Verilog mean?
05:52 Day 6-16 Verilog Learning Resources
07:04 Day 17-30 Practise Verilog (with Demo)
13:50 Previous year VLSI Interview Questions
14:57 Bonus Resources

Disclaimer: All the views and information shared in this video are as of my best knowledge but please counter-verify all information again. Here I am representing myself only. All the Guest have their own responsibility for any view taken forward on this platform. I made all my effort to share only the information which is already publicly available. No confidential information is being shared.
#whyRD #VLSI #verilog

Пікірлер: 99

  • @yobuddy2092
    @yobuddy2092 Жыл бұрын

    brother, you are truly gem for me i was searching for these kind of information for so long but i found it now. million thanks to u brother❤

  • @jagatpatiraiguru9806
    @jagatpatiraiguru9806 Жыл бұрын

    Thank You WhyRD ...very nice way you have described the directions to learn Verilog ...Thanks for sharing ..Keep It up

  • @Prateek_7777
    @Prateek_7777 Жыл бұрын

    Great content as always

  • @abhinandhanvuppala8248
    @abhinandhanvuppala8248 Жыл бұрын

    Bro i am currently pursuing 3rd year my request is to do a video how we can get internships in our core domain so which improves our work exposure

  • @mdarshadmurtaza2432

    @mdarshadmurtaza2432

    Жыл бұрын

    Bro I am in 2sem VLSI & EMDD Mtech and wanted to do internship in core domain. So pls guide us as soon as possible....

  • @Fat_cat0706

    @Fat_cat0706

    Жыл бұрын

    Yes sir please this is very important topic

  • @kankanghosh7205

    @kankanghosh7205

    Жыл бұрын

    @Mocite Technology u cn do ur internship from here. You cn find course details in linkdin

  • @sebastinraja5149
    @sebastinraja5149 Жыл бұрын

    Hi Raj,Please make a video for post silicon validation engineer role and interview preparation strategies.

  • @user-st1lx3sv9y
    @user-st1lx3sv9yАй бұрын

    Such a nice content brother .iam a 2024 passed out btech so i want to go with VLSI So i wiil start by u r guidance thank you brother and one more please provide the notes if u havee

  • @dn2358
    @dn2358 Жыл бұрын

    Thanks for sharing

  • @marwanal-yoonus280
    @marwanal-yoonus280 Жыл бұрын

    Dear Sir Thank you very much for this helpful video Please, I try to write the following Verilog code in Vivado, the synthesis process is OK but when I want to implement it an error signal appear !! module Tog_not (hsync, EOL, q); input hsync, EOL; output reg q; always @ (posedge hsync) begin q

  • @PrashanthsVlog
    @PrashanthsVlog Жыл бұрын

    What is the website your using for writing code? Please anybody answer this

  • @yashwantht5224
    @yashwantht5224 Жыл бұрын

    @whyRD please re-upload this video again we are facing the issues. Great content always willing to see the video and follow the instruction by you.

  • @whyRD

    @whyRD

    Жыл бұрын

    hi can you please explain the issue ?

  • @zaidrehman6797
    @zaidrehman679711 ай бұрын

    VHDL or verilog which I should go for as a beginner

  • @sharad_verma_18
    @sharad_verma_18 Жыл бұрын

    Thanks sir!

  • @sudhanshu8023
    @sudhanshu8023 Жыл бұрын

    Thank you so much sir

  • @bpallavi5748
    @bpallavi5748 Жыл бұрын

    Hello sir,can you please write verilog for matrix based error detecting and correction

  • @anandbvs143
    @anandbvs143 Жыл бұрын

    Excellent

  • @rtk8395
    @rtk8395 Жыл бұрын

    RD sir, please tell me the roadmap to learn VHDL

  • @mohangballekattappa5202
    @mohangballekattappa5202 Жыл бұрын

    TQ🙏

  • @sreelakshmip736
    @sreelakshmip73611 ай бұрын

    Thanks sir🙏

  • @dewakumar3907
    @dewakumar3907 Жыл бұрын

    Sir , I'm doing M.Tech in communication system but if I learnt good knowledge of digital and verilog ,can I get a job at vlsi domain ? Is it possible?

  • @anilrella4016
    @anilrella4016 Жыл бұрын

    Bayya I want ` time scale concept when 1ns/1ps why we multiply that value 1000

  • @rakeshmahapatra5456
    @rakeshmahapatra5456 Жыл бұрын

    Sir, kindly share Where to learn system verilog? Any videos reverence

  • @danish6813
    @danish6813 Жыл бұрын

    Brother can u suggest some really good projects based pn verilog..

  • @bhimashankarkattimani7074
    @bhimashankarkattimani7074 Жыл бұрын

    What will be the verilog programmer salary

  • @raspberrypi5415
    @raspberrypi5415 Жыл бұрын

    Sir, will you recommend studying from book Verilog HDL: A Guide to Digital Design and Synthesis by Samir Palnitkar?

  • @whyRD

    @whyRD

    Жыл бұрын

    yes definitely, if you have time

  • @amankatiyar923
    @amankatiyar923 Жыл бұрын

  • @Adityaa756
    @Adityaa756 Жыл бұрын

    Sir please provide the digital module notes

  • @collegecareeroption2220
    @collegecareeroption2220 Жыл бұрын

    Bhaiya verilog kaha se complete kru

  • @coding_vlsi_vietnam
    @coding_vlsi_vietnam Жыл бұрын

    Verilog, sv, vhdl are interesting but VLSI is not popular as python, javascript so if you buid a youtube channel about vlsi i think that it 's difficult to approach audicine. Anyway your channel is very helpfull 😁

  • @whyRD

    @whyRD

    Жыл бұрын

    So my task is make it popular 😀

  • @whyRD

    @whyRD

    Жыл бұрын

    So my task is make it popular 😀

  • @divyanityagi
    @divyanityagi Жыл бұрын

    Sir one of my friend have done btech in ECE in 2018. Since then he was preparing for UPSC but couldn't clear.Now he want to join VLSI industry, well his education gap has any effects.

  • @whyRD

    @whyRD

    Жыл бұрын

    If he is positive about his gap, clearly mention it on CV then this gap wont create much issue.. Tell him to explore eda tool, few simple projects and to accelerate things he can do a 6 month VLSI training from good institute

  • @kadajaganmohanachari2010
    @kadajaganmohanachari2010 Жыл бұрын

    can you please tell the best platform for learning digital electronics

  • @whyRD

    @whyRD

    Жыл бұрын

    Let me search for the best resources

  • @kartii__45
    @kartii__45 Жыл бұрын

    Bro having digital electronics and verilog knowledge is enough for cracking interviews or anything more we have to do as newbies to the industry

  • @whyRD

    @whyRD

    Жыл бұрын

    basic analog ckt knowledge also important, and advanced digital electronics (digital ic design) also required for front end , with a little communication/ presentation skill

  • @Gurumurthy
    @Gurumurthy Жыл бұрын

    Hello sir! It was a good informative video. I have one doubt, will freshers get refferal by employees in analog vlsi domain

  • @whyRD

    @whyRD

    Жыл бұрын

    yes , if you CV is good enough

  • @raishydermirza110
    @raishydermirza110 Жыл бұрын

    Hi sir... Your content is just amazing as you... Sir I'm present in 3rd year I want to do internship in VLSI domain after few months so sir pls suggest any industries to do internship in offline... 🙏

  • @whyRD

    @whyRD

    Жыл бұрын

    Hi early next year keep a eye on all career sites of companies to see internship openings

  • @raishydermirza110

    @raishydermirza110

    Жыл бұрын

    @@whyRD Thank you sir👍❤

  • @user-bf9nv7rv4k
    @user-bf9nv7rv4k4 ай бұрын

    Currently i am doing mtech in vlsi and embedded system. Can u tell me other than academic wt i can do to start my career in vlsi and embedded system

  • @user-bf9nv7rv4k

    @user-bf9nv7rv4k

    4 ай бұрын

    It will help me alot

  • @animestalker7485
    @animestalker7485 Жыл бұрын

    Xilinx is paid or free ?? Plz ans

  • @Deepak-yg6il
    @Deepak-yg6il Жыл бұрын

    Hello Rajdeep Iam, confusing write now, for choosing carrier in IT or Vlsi

  • @whyRD

    @whyRD

    Жыл бұрын

    No issue , choose any spend 1-2 year , if you like it great else chose the other one

  • @s.ganeshgowtham1392
    @s.ganeshgowtham1392 Жыл бұрын

    Hello Sir .When I went through verilog lecture in nptel course of iit kharagpur.I am feeling difficulty in making notes .Could you please guide

  • @whyRD

    @whyRD

    Жыл бұрын

    Can you please elaborate , more ? what i used to do was taking a screenshoot of every ppt , and after each episode written summer discussed on that slide ... and yes i dont have those notes now :(

  • @DanishMalik-my6li
    @DanishMalik-my6li Жыл бұрын

    Hi I have completed my B.E this year and am looking for VLSI jobs. Can you please help me where to find VLSI jobs for freshers because most of the openings in this domain requires experience!

  • @whyRD

    @whyRD

    Жыл бұрын

    you need to visit career site of all companies and filter the job as entry level

  • @jagadeeshk1606
    @jagadeeshk1606 Жыл бұрын

    1st like and comment

  • @whyRD

    @whyRD

    Жыл бұрын

    likes and comments are the main motivating factor, which let me know i am making a difference, thank you jagadeesh

  • @jagadeeshk1606

    @jagadeeshk1606

    Жыл бұрын

    Yes bro u r always makes the difference to the other youtubers. u r providing good content for sure and it is very helpful to the upcoming visi engineers like me. Thank you

  • @yogabharatpattila5690
    @yogabharatpattila5690 Жыл бұрын

    Bro.. Please suggest the approach to become verification engineer in vlsi industry

  • @whyRD

    @whyRD

    Жыл бұрын

    Please see my last video how to select VLSI profile

  • @borkaranish6034
    @borkaranish6034 Жыл бұрын

    Can you please help me with physical design roadmap?

  • @whyRD

    @whyRD

    Жыл бұрын

    hmm , love to let me see if i can

  • @begumzumana5304
    @begumzumana5304 Жыл бұрын

    Can a btech electronic instrument engg get into vlsi industry

  • @whyRD

    @whyRD

    Жыл бұрын

    yes for sure

  • @gurudathkm4280
    @gurudathkm4280 Жыл бұрын

    Sir, please do the same for sv and uvm also.

  • @whyRD

    @whyRD

    Жыл бұрын

    I need to explore this side of VLSI design

  • @heyakilan
    @heyakilan Жыл бұрын

    Hello bhaiya ,i m going to 3 rd year now ,and i comfused Which language what i want to study for vlsi job verilog or python please clarify me

  • @whyRD

    @whyRD

    Жыл бұрын

    Defined priority is on verilog

  • @heyakilan

    @heyakilan

    Жыл бұрын

    @@whyRD thanks bhaiya 👍

  • @durgaprasadmaddala3727
    @durgaprasadmaddala3727 Жыл бұрын

    Bro, did you taken any training from any vlsi institute ,during your btech or mtech level ?

  • @whyRD

    @whyRD

    Жыл бұрын

    If you doing mtech from Top tier, you don't need to take any training and also if you did only BTech with full dedication no need for any training.... Yes I never took any trainings

  • @durgaprasadmaddala3727

    @durgaprasadmaddala3727

    Жыл бұрын

    @@whyRD but nowadays all companies are hiring only trained freshers ..right sir

  • @whyRD

    @whyRD

    Жыл бұрын

    Not likely, if it's after Btech from 3rd tier then training gives edge over other....

  • @durgaprasadmaddala3727

    @durgaprasadmaddala3727

    Жыл бұрын

    @@whyRD thanks bro for ur reply

  • @tejpratapsingh401
    @tejpratapsingh401 Жыл бұрын

    can u plz suggest some websites or links to make vlsi projects for mtech level

  • @whyRD

    @whyRD

    Жыл бұрын

    ieee explorer is the best option , i have also made one video about how to use , seatch start vlsi project whyrd

  • @tejpratapsingh401

    @tejpratapsingh401

    Жыл бұрын

    @@whyRD thanks a lot

  • @hammadmmohammed2496
    @hammadmmohammed2496 Жыл бұрын

    Great content 🥰but Can u improve ur microphone

  • @whyRD

    @whyRD

    Жыл бұрын

    i agree , i need to improve it

  • @cod4816
    @cod4816 Жыл бұрын

    Studying ME vlsi design in Anna university CEG, how can I get into intel ?

  • @whyRD

    @whyRD

    Жыл бұрын

    Do intel visit your campus if not you need to apply through offline mode, imply visit career site of intel

  • @cod4816

    @cod4816

    Жыл бұрын

    @@whyRD thanks sir 😊

  • @rohithy61
    @rohithy61 Жыл бұрын

    This is for btech or mtech level???

  • @whyRD

    @whyRD

    Жыл бұрын

    Useful for both

  • @punithgowdap588
    @punithgowdap588 Жыл бұрын

    Can I become a vlsi engineer if i am persuing electronics and telecommunication

  • @whyRD

    @whyRD

    Жыл бұрын

    yes yes yes

  • @punithgowdap588

    @punithgowdap588

    Жыл бұрын

    @@whyRD thanks

  • @tarunachievers778
    @tarunachievers778 Жыл бұрын

    Daily r u going to post verilog tutorial series for 30 days?...

  • @whyRD

    @whyRD

    Жыл бұрын

    nice idea , but as of now not , will be starting with trending interview questions

  • @tarunachievers778

    @tarunachievers778

    Жыл бұрын

    Awesome👍👏

  • @vinaymotupalli3616
    @vinaymotupalli3616 Жыл бұрын

    Great content , and sir can you please give a roadmap to select projects related verilog for job opportunities

  • @whyRD

    @whyRD

    Жыл бұрын

    yes ,will attempt this in future,thanks for the suggestion

  • @nitishvashishth9
    @nitishvashishth9 Жыл бұрын

    i want to connect with you on linkedin

  • @whyRD

    @whyRD

    Жыл бұрын

    please type you linkedin profile here , will send a connection request

  • @jam2347
    @jam2347 Жыл бұрын

    Sir, can we know like what u actually do in Intel i mean ur job related.?

  • @whyRD

    @whyRD

    Жыл бұрын

    I try to explain, in previous oifew videos I have explained in general and future too will try... Exact details I can't share as it's all confidential

  • @ankitaghoshal6009
    @ankitaghoshal6009 Жыл бұрын

    Bro are you Bengali?

  • @whyRD

    @whyRD

    Жыл бұрын

    Yes 😀

  • @abhisheksaraswat5708
    @abhisheksaraswat5708 Жыл бұрын

    Thanks a lot sir

Келесі