VLSI For You

VLSI For You

Our mission is to provide free tutorials/ online classes from basic to advance level in VLSI with Industry Level Standards. Also, to educate people to know everything about VLSI both in Tamil and English.

With this platform, we aim to provide you THE BEST knowledge about electronics and VLSI related topics with best code examples.

Let us know your views and interest in comments section, we will cover the sections or clarify the queries and doubts. Don't forget to subscribe.


Keep Supporting Us!
Thank You!!

Пікірлер

  • @prathibaprathiba7330
    @prathibaprathiba73308 күн бұрын

    Private intitute irukka

  • @vlsiforyou
    @vlsiforyou8 күн бұрын

    Many are there... But be aware of the fake and fraud institutions.... Before spending money, go directly to the institute and check. Talk with the students studying there. Don't waste your money 👍

  • @PrashanthsVlog
    @PrashanthsVlog10 күн бұрын

    Mam still waiting for assertion and functional coverage konjo sikro potingana romba useful ha irukum😊

  • @vlsiforyou
    @vlsiforyou10 күн бұрын

    Coverage and assertion ku munnadi 2 video podanum, athukku oru flow la poitu irukku. Antha 2 videos preparation pannitu iruken. Athukku aprm start pannituven.

  • @PrashanthsVlog
    @PrashanthsVlog10 күн бұрын

    @@vlsiforyou ohhh okay mam nandrii , worth to wait😊

  • @Ilaa30
    @Ilaa3014 күн бұрын

    Pls post uvm videos also mam

  • @vlsiforyou
    @vlsiforyou14 күн бұрын

    Sure! Will be post once functional coverage and assertion completed

  • @k7drawings460
    @k7drawings46018 күн бұрын

    Mam program run pannurathuku compiler pathi solluga. Please mam.

  • @vlsiforyou
    @vlsiforyou16 күн бұрын

    Eda playground use pannunga

  • @PrashanthsVlog
    @PrashanthsVlog18 күн бұрын

    Eagerly waiting for assertion and functional coverage video mam, please koncham sikramave upload pannunga 😊

  • @vlsiforyou
    @vlsiforyou16 күн бұрын

    Sure!!! As many people request. Next athu than plan panni iruken.

  • @PrashanthsVlog
    @PrashanthsVlog19 күн бұрын

    System verilog assertion video please

  • @vlsiforyou
    @vlsiforyou16 күн бұрын

    Sure!!! Next athu than plan panni iruken.

  • @PrashanthsVlog
    @PrashanthsVlog16 күн бұрын

    @@vlsiforyou nandri mam ☺️

  • @apvivek5368
    @apvivek536819 күн бұрын

    Mam, Ethavathu Certification Course Teach Panringala ?

  • @vlsiforyou
    @vlsiforyou16 күн бұрын

    Certification course lam pannala. Ping me in insta, if you have any doubts

  • @PrashanthsVlog
    @PrashanthsVlog19 күн бұрын

    Instead of using unique can we use rand c also to generate unique value so that if we r using rand c means we wont get repeated values

  • @RAHULVECE
    @RAHULVECE23 күн бұрын

    MAM , BACKEND VLSI PLEASE TELL ABOUT IT AND TUTORIALS TOO.

  • @vlsiforyou
    @vlsiforyou23 күн бұрын

    Mostly I worked in front end only

  • @RAHULVECE
    @RAHULVECE21 күн бұрын

    @@vlsiforyou mam which is best frontend or backend in basis of package?

  • @vlsiforyou
    @vlsiforyou21 күн бұрын

    @RAHULVECE both are best in terms in package.

  • @PrashanthsVlog
    @PrashanthsVlog26 күн бұрын

    Thank you for your constituency uploading videos about sv mam nandriiii..... Mam please system verilog assertion and functional coverage video please

  • @vlsiforyou
    @vlsiforyou26 күн бұрын

    Sure! Will be covered

  • @vlsiforyou
    @vlsiforyou26 күн бұрын

    As a subscriber noticed an issue in this video, and pinged us in Instagram. we have Updated Reuploaded it. kzread.info/dash/bejne/jG2F17Ggk5a9gM4.html Please use this video for better understanding. Thank you!!!

  • @sowndhar.n
    @sowndhar.nАй бұрын

    Mam,i am a mechanical engineer 2022 passed out,no experience,naan epdi pcb design and vlsi design department la varuvathu maam?

  • @vlsiforyou
    @vlsiforyouАй бұрын

    Neenga learn pannalam, but some companies will expect ece or eee or e&i stream

  • @gowshik_21
    @gowshik_21Ай бұрын

    Mam na vlsi and ece department pathi unga kita pesanum. Intha year na clg join pananum.ece choose panalamnu irukan. So konjam doubt iruku.

  • @vlsiforyou
    @vlsiforyouАй бұрын

    Ping me in instagram

  • @deadshotgamingtamil9919
    @deadshotgamingtamil9919Ай бұрын

    Weekly 2 to 5 videos podunga mam.. Nala iruku unga video.. Super mam🔥... But ninga monthly once podringa.. Konjam neraya podunga mam

  • @vlsiforyou
    @vlsiforyouАй бұрын

    Will try to upload every week.

  • @deadshotgamingtamil9919
    @deadshotgamingtamil9919Ай бұрын

    @@vlsiforyou ok mam🔥thank u mam.. Every week 2 to 3 videos nathu upload panunga.. Enga college la placement la ethumee solli thara matranga.. Itha pathuthsn place aagunum🙏🙏🙏🙏

  • @deadshotgamingtamil9919
    @deadshotgamingtamil9919Ай бұрын

    Mam inum video podunga mam🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏🙏i will see ur video

  • @MsRajesh456
    @MsRajesh456Ай бұрын

    2^16 😂 is not 256 right. 2 ^8 is only 256🎉❤

  • @KIRUTHIGAK.
    @KIRUTHIGAK.Ай бұрын

    mam now in 2024 it is important to learn vhdl or verilog

  • @vlsiforyou
    @vlsiforyouАй бұрын

    70% verilog 30% vhdl

  • @KiruthigaKumaran-zh9kq
    @KiruthigaKumaran-zh9kqАй бұрын

    ​@@vlsiforyou Thanks a lot mam

  • @mercyabida6515
    @mercyabida6515Ай бұрын

    ❤🎉

  • @mercyabida6515
    @mercyabida6515Ай бұрын

    ❤🎉

  • @mercyabida6515
    @mercyabida6515Ай бұрын

    ❤🎉

  • @mercyabida6515
    @mercyabida6515Ай бұрын

    ❤🎉

  • @mercyabida6515
    @mercyabida6515Ай бұрын

    😂😂😂😂 .*🎉❤

  • @mercyabida6515
    @mercyabida6515Ай бұрын

    😂❤🎉

  • @vennilas9893
    @vennilas9893Ай бұрын

    Great explanation. Please post UVM videos, it would be very helpful mam

  • @vlsiforyou
    @vlsiforyouАй бұрын

    Sure! Thanks for you support

  • @haryneevs3995
    @haryneevs3995Ай бұрын

    module carry_look_ahead_4bit_tb; reg [3:0] a,b; reg cin; wire [3:0] sum; wire cout; carry_look_ahead_4bit dut(.a(a), .b(b),.cin(cin),.sum(sum),.cout(cout)); initial begin $dumpfile("dump.vcd"); $dumpvars(1); a=0; b=0; cin=0; #10 a=4; b=2; cin=0; #10 a=7; b=5; cin=0; #10 a=3; b=5; cin=1; #20 $finish; end initial $monitor( "A=%d, B=%d, cin= %d, sum=%d, cout=%d,A=%b, B=%b, cin= %b, sum=%b, cout=%b", a,b,cin,sum,cout,a,b,cin,sum,cout); endmodule this is the testbench I have a doubt that can I assume any values for a,b,cin

  • @haryneevs3995
    @haryneevs3995Ай бұрын

    can i write like this is this correct

  • @vlsiforyou
    @vlsiforyouАй бұрын

    Any value you can give to a, b, c inputs

  • @haryneevs3995
    @haryneevs3995Ай бұрын

    Ok mam

  • @meathamaganathan7250
    @meathamaganathan7250Ай бұрын

    Mam na epa than b.e electrical engineering la vlsi eduthurkan enaku syllabus enna nu theriyala aprm enna la engineering exam lam attend panna mudiyuma pls rly mam

  • @vlsiforyou
    @vlsiforyouАй бұрын

    Syllabus pathi enakku therila, unga regulation ku search panna kidaikkum mostly. Illana unga professor kitta kelunga

  • @Saiprashanth-sf7bf
    @Saiprashanth-sf7bf2 ай бұрын

    Please upload how to write a Test bench in system verilog

  • @vlsiforyou
    @vlsiforyou2 ай бұрын

    Ok, will do it

  • @vijinjoe
    @vijinjoe2 ай бұрын

    🙏

  • @vijaymosco2832
    @vijaymosco28322 ай бұрын

    Very useful Mam....🤝🏻

  • @vlsiforyou
    @vlsiforyou2 ай бұрын

    Thanks for your support

  • @sangeetha-nu6vp
    @sangeetha-nu6vp2 ай бұрын

    Akka pls continuous ah video upload pannuga. Romba helpfull ah iruku. UVM concept quick ah podunga❤😊

  • @vlsiforyou
    @vlsiforyou2 ай бұрын

    Kandippa, concept ready panni, prepare panni video poda time edukuthu. Sure ah uvm cover pannituven

  • @Saiprashanth-sf7bf
    @Saiprashanth-sf7bf2 ай бұрын

    please upload more constraint interview questions like this mam ,your work is so much great. now i able to understand the constraint mam thank you so much

  • @vlsiforyou
    @vlsiforyou2 ай бұрын

    Thanks for your support! Most of the constrain interview questions are covered. We have uploaded constrains videos ( from SV21). In those videos, we covered constraint interview questions also. Please take a look 👍

  • @arunkumarmarimuthu1405
    @arunkumarmarimuthu14052 ай бұрын

    Please upload functional coverage

  • @vlsiforyou
    @vlsiforyou2 ай бұрын

    Sure, will be covered after interface

  • @hariharankrish6323
    @hariharankrish63232 ай бұрын

    Dollar display and dollar monitor ku difference sollunga sister Tamil la....simulation result la ena changes nadakum nu sollunga

  • @vlsiforyou
    @vlsiforyou2 ай бұрын

    Refer #14 display tasks in verilog, I have explained detailedly

  • @_VISHNUPRIYAK-hc5si
    @_VISHNUPRIYAK-hc5si3 ай бұрын

    Mam..pls.give some guidance about available open source software for vlsi project.

  • @vlsiforyou
    @vlsiforyou3 ай бұрын

    For experience, you can use EDA Playground.

  • @sumkrisheditz
    @sumkrisheditz3 ай бұрын

    8:58 Two methods I have mam, please review it 1. val[i] = fact ((( i + 1 ) * 2 ) - 1 ) 2. val[i] = fact ( i + ( i + 1 ) )

  • @vlsiforyou
    @vlsiforyou3 ай бұрын

    Yes, both are correct.

  • @sumkrisheditz
    @sumkrisheditz3 ай бұрын

    Hi Mam. If we do right shift will it generate only the One's? constraint c1 { data == 1 >> shift ; }

  • @vlsiforyou
    @vlsiforyou3 ай бұрын

    No, we will get 0 for right shift

  • @sumkrisheditz
    @sumkrisheditz3 ай бұрын

    Ohh Okay.

  • @selvaraj.ca143
    @selvaraj.ca1433 ай бұрын

    Please we need a regular videos ,atleast 4 videos in a week mam and pls post videos in Interprocess Communication SystemVerilog Program Block SystemVerilog Clocking

  • @vlsiforyou
    @vlsiforyou3 ай бұрын

    Thanks for your support. I'll try to do it. It's taking more time to prepare, workout, record, edit and upload the video. I am trying to give my best of knowledge. I hope you all understand us.

  • @selvaraj.ca143
    @selvaraj.ca1433 ай бұрын

    I'm grateful for your work mam,ur the one and only source to learn SV and Verilog In tamil with Great understanding mam.Thank you so much mam .Keep doing it mam .

  • @harshinij6327
    @harshinij63273 ай бұрын

    wonderfull teaching mam ♥ Thank you for the SV playlist.

  • @vlsiforyou
    @vlsiforyou3 ай бұрын

    Thanks for your support

  • @PRAVEENM-xy3is
    @PRAVEENM-xy3is4 ай бұрын

    %2d %2s defines?

  • @vlsiforyou
    @vlsiforyou3 ай бұрын

    d and s are print formats d means decimal and s means string 2 is for space after equal to Example - $display("a =%2d",a); Assume a = 1, Result : a = (space)(space)1

  • @mekalamekala8214
    @mekalamekala82144 ай бұрын

    Mam oru standard course pannanu with job offer,ethachu trusted website sollunga

  • @vlsiforyou
    @vlsiforyou4 ай бұрын

    Really I don't know about the online courses. But you can find reputated institute for learning and job offer.

  • @yuvarajkamalakannan8140
    @yuvarajkamalakannan81404 ай бұрын

    mam pls ensure audio quality. use any audio filter application like dolby on or any similar app. better you must use mic with noise cancellation feature. Apart from all hats off to your effort to make a tech video in tamil. All the very best for your long tech journey.

  • @vlsiforyou
    @vlsiforyou4 ай бұрын

    Thanks for your support. Yes, we implemented our audio quality for further videos. We are using microphone

  • @user-zp1ts3mv4s
    @user-zp1ts3mv4s4 ай бұрын

    Please cover all the topics on system verilog and uvm...

  • @vlsiforyou
    @vlsiforyou4 ай бұрын

    Yeah, will cover soon Please subscribe us and share with your friends And follow us on Instagram for any queries

  • @user-zp1ts3mv4s
    @user-zp1ts3mv4s4 ай бұрын

    Super sis👏👏Thanks for making videos on system verilog.Do more videos sis!!!!!

  • @vlsiforyou
    @vlsiforyou4 ай бұрын

    Thank you, I will

  • @divyaramamoorthy3450
    @divyaramamoorthy34504 ай бұрын

    Upload next video mam🙌

  • @vlsiforyou
    @vlsiforyou4 ай бұрын

    Will upload soon

  • @boopathim3426
    @boopathim34265 ай бұрын

    Pls try to upload 2-3 videos weekly akka it will be helpful for us!

  • @imvkumar518
    @imvkumar5185 ай бұрын

    Super akka 👍 please continue...

  • @vlsiforyou
    @vlsiforyou5 ай бұрын

    Sure

  • @gokulp6878
    @gokulp68785 ай бұрын

    really good.can you please exaplain axi or ahb protocol?

  • @vlsiforyou
    @vlsiforyou5 ай бұрын

    Sure, will be done in upcoming videos

  • @gokulp6878
    @gokulp68785 ай бұрын

    @@vlsiforyou thanks

  • @sumkrisheditz
    @sumkrisheditz5 ай бұрын

    UVM videos post pannunga mam plsss ..

  • @sumkrisheditz
    @sumkrisheditz5 ай бұрын

    UVM videos post pannunga mam plsss ..

  • @vlsiforyou
    @vlsiforyou5 ай бұрын

    Will upload soon

  • @divyaramamoorthy3450
    @divyaramamoorthy34505 ай бұрын

    Please upload next video mam

  • @vlsiforyou
    @vlsiforyou5 ай бұрын

    Sure I will