VHDL vs. Verilog - Which Language Is Better for FPGA

Ғылым және технология

NEW! Buy my book, the best FPGA book for beginners: nandland.com/book-getting-sta...
Finally an answer to the age-old question! VHDL vs. Verilog for FPGA. Who will be the champion in the most heated battle between the Hardware Description Languages. Find out now.
Support this channel! Buy a Go Board, the best development board for beginners to FPGA: www.nandland.com/goboard/intr...
Like my content? Help me make more at Patreon!
/ nandland

Пікірлер: 81

  • @shwetasharma3263
    @shwetasharma32633 жыл бұрын

    I have recently came across your channel and i would say every video is very informative and practical too.thank you for sharing your knowledge and looking forward for more FPGA videos .

  • @hmdz150
    @hmdz1507 жыл бұрын

    As a long time C programmer I have an easier time doing HDL coding using VHDL. Coding HDL requires a totally different mindset compared to coding software. That is why Verilog is confusing for me. It doesn't let me think free from software mindset.

  • @hanniffydinn6019

    @hanniffydinn6019

    6 жыл бұрын

    h zed but then he said Verilog is more c like...... Wow how confusing

  • @mzamroni

    @mzamroni

    5 жыл бұрын

    me too. I chose to use vhdl in 2002 when creating USB hub controller for bachelor degree final project. shh, each test cycle took 10 minutes to compile and 5 minutes to simulate in Pentium 3 computer

  • @gabemvp

    @gabemvp

    4 жыл бұрын

    Right to the point!

  • @jeremiefaucher-goulet3365

    @jeremiefaucher-goulet3365

    3 жыл бұрын

    LOVE this answer. I was looking for something like this. VHDL seems like the right choice for me to begin.

  • @dincerekin

    @dincerekin

    Жыл бұрын

    I like verilog cos it's similar to C lol. & should be AND instead of concatenation. Plus verilogs literals make more sense. E.g. Data

  • @salmankhan-yw8oc
    @salmankhan-yw8oc7 жыл бұрын

    Thank you so much for putting this video. And it feels like knowing one language is enough for a fresher.

  • @tinori1838
    @tinori18382 жыл бұрын

    I really liked how you showed pros and cons for both and i like your conclusion. When it comes to programming languages a lot of people are pretty emotional but this was just a very good explanation.

  • @godfather7339

    @godfather7339

    2 жыл бұрын

    Very much agree on the emotional part.

  • @spiderrobotheavyduty5028
    @spiderrobotheavyduty50286 жыл бұрын

    very good presentation ,thank you

  • @ashishsontakke4040
    @ashishsontakke40407 жыл бұрын

    thank you sir....very helpful..

  • @martinwashington3152
    @martinwashington31526 жыл бұрын

    Very nice introduction to the difference between the two HDLs, thanks for taking the time present an upload :)

  • @cosmofic4270
    @cosmofic42704 күн бұрын

    It's 7 year old video and I'm watching in in 2024 and starting my journey now . 🙂

  • @burgerking220
    @burgerking2203 жыл бұрын

    My Verilog professor said Verilog was the best and was not bad like that clunky mess of a language VHDL. My VHDL professor said Verilog is an ancient language no one uses

  • @bertcuzeau7800
    @bertcuzeau78007 жыл бұрын

    Nice intro for beginners. I would just add: * ASICs are in Verilog (especially close to back-end) * If Digital Design is going to be your job, then you need BOTH ! * Neither are intuitive nor very simple to learn, HDLs are another breed as compared to programming languages. Self-teaching HDLs is not a professional nor reliable path. * AND there is a huge Game Changer now : SystemVerilog which is the language of the next 20 years (if HDL and FPGAs don't die in between). Since SV is a superset of Verilog, so today I would rather suggest learning Verilog rather than VHDL, even if (being located in Europe) I wrote more VHDL than Verilog since 24 years. Bert

  • @johnmarston7005

    @johnmarston7005

    6 жыл бұрын

    Hi Bert, could you please write something more about self-teaching HDLs being not a professional nor reliable path? What's the best way to learn HDL then?

  • @ahmadalastal5303

    @ahmadalastal5303

    3 жыл бұрын

    @@johnmarston7005 I have be studying Verilog on my own since 2018 and I found the best way to teach yourself is by doing small projects and see examples, which is pretty much the old fashion way, it is now 2021 and my skills are really advance, I did dozens of project and I get better and better after each project, I work as a c++ developer since 2019 and continue my FPGA journey, I searched for FPGA jobs but they keep rejecting me and say nothing about why, maybe I should also learn VHDL, I am electrical engineer by the way

  • @rays14ful
    @rays14ful4 жыл бұрын

    I worked in both Silicon Valley (Apple) and defense (Raytheon). In Silicon Valley I did two FPGAs. Very tiny little FPGAs. Both in verilog. In defense I did a whole lot of FPGAs and huge designs. Entire radar processing to controls. All in VHDL. What I learnt was that the use of FPGAs in Silicon Valley is very low compared to defense. The bulk of FPGA work is in defense. I Silicon Valley most products are consumer products and se has to be small and cheap and power efficient. So any FPGA design is quickly made into an ASIC and the work continues in C.

  • @vonnikon
    @vonnikon Жыл бұрын

    Verilog is being more actively developed, with new features added first in Verilog and years later in VHDL. One example in particular: It is possible to represent a databus like AXI as a single port in Verilog. While in VHDL your AXI bus will be 25 individual ports. This feature is coming to VHDL as well, but it takes years for it to happen...

  • @baconsledge
    @baconsledge7 жыл бұрын

    Good vid!

  • @windydoo8618
    @windydoo86186 жыл бұрын

    Appreciated.

  • @KingDuken
    @KingDuken2 жыл бұрын

    I think Verilog is a good start for anyone who is starting and just learning any hardware description language. VHDL is more for advanced users who want their code to be more literal and accurate. There's really no "better" language. It really comes down to what you think is easier and what will get the job done according to well thought out specifications.

  • @gmortimer20031
    @gmortimer200315 жыл бұрын

    I prefer the pedantry of VHDL, which as a beginner constructing a huge project (which occupies 70% of a Zynq 7100) I find somewhat helpful. I can use .v and .sv, though, too. The version of Vivado I use (15.4) sometimes gets confused when I construct a piece of custom IP, and if the piece is simple, and it defaults to .v, then I use it! For anything of substance I use VHDL.

  • @ibrahemtaha8177
    @ibrahemtaha81773 жыл бұрын

    Many Thanks for sharing your videos bro!!! Any chance you share with us Paths "How to become X defense engineer". Thanks in Advance, bro!!!

  • @DanEllis
    @DanEllis3 жыл бұрын

    I started learning with Verilog. I think I'll end up switching to VHDL eventually, because strong typing is good. I've already experienced errors that slipped through in Verilog.

  • @6s6
    @6s67 жыл бұрын

    awesome vid

  • @user-ld3jn2pm5g
    @user-ld3jn2pm5g2 жыл бұрын

    Dear Sir Thank you very much for your helpful information. I want to work a project of tracking of moving target in real-time using FPGA. What is your advice to me to use VHDL or verilog? Thank you.

  • @nandsingh4669
    @nandsingh46695 жыл бұрын

    ok I am going for verilog thanks sir

  • @derekonxbox
    @derekonxbox Жыл бұрын

    3:59 Is there a train passing by? I swear i hear a graham white e-bell ringing and a loud rumble in the background

  • @ashwinikurhade6041
    @ashwinikurhade60415 жыл бұрын

    Can anyone suggest a good book for Verilog?

  • @lawrencemanning
    @lawrencemanning3 жыл бұрын

    I use VHDL in my hobby projects. It is certainly much more verbose. I like it for this reason, oddly. But I'm very keen to learn Verilog, especially as I want to do this for a living. One nice aspect is it's apparently possible to mix different languages in the same design, so I'll have a go at rewriting some bits in Verilog. Maybe I'll end up liking it.

  • @whyareyoulookingatthislol

    @whyareyoulookingatthislol

    7 ай бұрын

    AFAIK VHDL is used by a lot of govt positions

  • @agstechnicalsupport
    @agstechnicalsupport4 жыл бұрын

    A good, unbiased comparison of VHDL and Verilog.

  • @vit777vit
    @vit777vit3 жыл бұрын

    VHDL and Verilog are hardware description languages but not sftware programming languages. So they are the congenerous semantics. Hence we make schematic diagram using verbose languages instead graphical representation of a dot. But, easier to say 'horse' then making its drawing. And this case, I suppose, that Verilog and VHDL languages have to draw the same device (spherical horse in vacuum) for all supported FPGAs.

  • @user-ng8rl3jb1i
    @user-ng8rl3jb1i6 ай бұрын

    to me someone should know both. maybe master one but both are usefull. i think verilog is more straightforward and simple.. modules are just simple and nice inputs and outputs seem straightforward. but its my oppinion (i starded with verilog)

  • @MilanKarakas
    @MilanKarakas5 жыл бұрын

    I am just beginner in FPGA world. And my firs impression that VHDL is what I want to use.

  • @vikrantthakur7482
    @vikrantthakur74826 жыл бұрын

    Hi, I am undergraduate engineering student from India.Actually, I have worked on VHDL earlier.So according to you if I want to strike to work in industries or willing to pursue research. Should i go with depths in VHDL or should i also start learning verliog?

  • @mzamroni

    @mzamroni

    5 жыл бұрын

    I prefer vhdl but any of them will do. hdl software can combine component created using different hdl

  • @riddhipadariya7517
    @riddhipadariya75176 жыл бұрын

    Which book is best to learn FPGA for practical use? Please provide me a suggestion! Thanks.

  • @Nandland

    @Nandland

    6 жыл бұрын

    I don't know... I never found a good one, which is why I created nandland and these videos in the first place.

  • @cheebeez

    @cheebeez

    6 жыл бұрын

    Digital Design and Computer Architecture by David Money Harris and Sarah L Harris

  • @rafaeltmbr946

    @rafaeltmbr946

    6 жыл бұрын

    This book is really good for systems design, it shows you that digital design it pretty straightforward.

  • @metildsa6138

    @metildsa6138

    6 жыл бұрын

    "FPGA prototyping by vhdl examples". Pong P. Chu

  • @omari4625

    @omari4625

    5 жыл бұрын

    Riddhi Patel vhdl for engineers Kenneth short is a good book

  • @gabrieldegret1359
    @gabrieldegret13596 жыл бұрын

    FOR VHDL !

  • @wilsonlopez697
    @wilsonlopez6974 жыл бұрын

    Let's say Verilog is like JavaScript, right? 😂

  • @SeverityOne
    @SeverityOne4 жыл бұрын

    I had an idea the other day: design an HDL based on lambda calculus, so basically a functional programming approach.

  • @luuclucas

    @luuclucas

    3 жыл бұрын

    Already exists, I am currently doing my master thesis using Clash, which is based on a subset of Haskell.

  • @godfather7339

    @godfather7339

    2 жыл бұрын

    Really cool, maybe there is a lisp library to write hdl?

  • @mzamroni
    @mzamroni5 жыл бұрын

    vhdl vs verilog done. next, tab vs space

  • @Nandland

    @Nandland

    5 жыл бұрын

    2 second video... space. mic drop.

  • @lawrencemanning

    @lawrencemanning

    3 жыл бұрын

    Am I the only one left who prefers tabs?

  • @LinhHoang-zi9mt

    @LinhHoang-zi9mt

    3 жыл бұрын

    @@Nandland vim vs emacs

  • @ksbs2829

    @ksbs2829

    2 жыл бұрын

    @@lawrencemanning yup tabs all the way! Also tabs every 8 columns. No debate. :-)

  • @HamsterSnr
    @HamsterSnr3 жыл бұрын

    Learnt VHDL way back in ‘92, and still using today for FPGA. But it seems all the example designs provided by the FPGA vendors are written in Verilog 😡

  • @DoktorSchaedel
    @DoktorSchaedel4 ай бұрын

    Verilog prof: VHDL is trash VHDL prof: Verilog is trash

  • @hrnekbezucha
    @hrnekbezucha4 жыл бұрын

    Interesting, I looked up local search results on trends and VHDL is very consistent in popularity, while Verilog jumps in and out of favour all the time.

  • @4explore
    @4explore2 жыл бұрын

    In defense and avionics, it's almost exclusively VHDL.

  • @Wren6991
    @Wren69916 жыл бұрын

    As a counterpoint to those trends: I do ASIC design in the UK and use Verilog (and occasionally SystemVerilog) exclusively. All of my colleagues write Verilog. One of my colleagues came from ARM, where Verilog 2001 is apparently the main design language. I also work with several contractors (who do, for example, FPGA integration to help with our soak testing), all of whom mainly use Verilog. I am wary of using google trends to predict what HDL designers actually use.

  • @Nandland

    @Nandland

    6 жыл бұрын

    Yes, if the company or industry you work in uses one language more, definitely stick to that one! The google trends was used to highlight the regional differences and give people at least a place to start.

  • @Wren6991

    @Wren6991

    6 жыл бұрын

    Okay! Thank you for the response :) I love what you're doing.

  • @Wren6991

    @Wren6991

    6 жыл бұрын

    I was looking at your Go board, and it seems like only the HX1k part is available. Do you have (or have plans for) an HX8k-equipped board? I'm playing with IceStorm at the moment, and Go board seems a lot nicer than Lattice's breakout board.

  • @Nandland

    @Nandland

    6 жыл бұрын

    Thanks! It has a lot more features and tutorials, which was what I was going for. I don't have plans for 8k at this time, but if there was ever a ton of interest in such a product I could look into it. Thanks for the suggestion.

  • @71GA
    @71GA3 жыл бұрын

    VHDL's syntax sucks... but it is much better....

  • @MrSapps
    @MrSapps4 жыл бұрын

    Great Briain?? lol

  • @DanEllis

    @DanEllis

    3 жыл бұрын

    Great Bri'ain. It's a glottal stop.

  • @yasmeen2173
    @yasmeen21734 жыл бұрын

    hello have you an email can l contact with you ? because I have a project and I need to help to do my project please. my project made by verilog language .

  • @stephenholstein231
    @stephenholstein2312 жыл бұрын

    From a software background, VHDL looks superior. After seeing languages like C and C++ that tried to make things "simple", verilog and system verilog seem headed down this dead-end. New languages like Rust force the user to be aware of all the hidden gotchas that crept from the early days into new languages. For all the syntactic goodness of verilog it carries a lot of hidden gothcas.

  • @varunmajji6514
    @varunmajji65142 жыл бұрын

    verilog is used in every semiconductor company,

  • @slap_my_hand
    @slap_my_hand6 жыл бұрын

    Seriously, what idiot thought it would be a good idea to make these two languages the global standard? Verilog and especially VHDL are just a mess of superfluous keywords. Why not just make everybody's life easier and create a language with a minimalist syntax?

  • @jeanperruchoud6763
    @jeanperruchoud67636 жыл бұрын

    Both languages are potatoes, don't trash your time learning them, instead look to the opensource alternative HDL : - SpinalHDL - Chisel - Migen Really, VHDL and Verilog are just OK as netlist language, as soon you want to advanced things they become copy/past non reusable nightmare unless you use dirty languages workaround.

  • @aaronchamberlain4698

    @aaronchamberlain4698

    6 жыл бұрын

    This is probably some of the worst advice for someone looking for a job. I searched for the phrases "Migen FPGA" on indeed and the same for all the languages you listed. I got 0, 2, and 0 job results respectively. Chisel only had 2 for an internship that was duplicated and it was a bonus requirement with any other HDL as a requirement. VHDL had 1,138 PAGES of listings and Verilog gives 1,619 PAGES. Huge difference in job prospects. I'm not sure I disagree from a language perspective since I have glanced at Chisel because I was interested in RISC-V and see it's value. It may have even more job value in the future as RISC-V takes off, but if you want to a job *today* learn Verilog/SystemVerilog or VHDL. EDIT: This is true for all other regular software languages as well. Sure JS is a pretty ugly language, but there are a ton of jobs...

  • @jeanperruchoud6763

    @jeanperruchoud6763

    6 жыл бұрын

    Hooo about RISC-V stuff, check out github.com/SpinalHDL/VexRiscv Probably the best open source RISC-V for FPGA, and written in one of those industry ignored HDL : D

  • @aaronchamberlain4698

    @aaronchamberlain4698

    6 жыл бұрын

    Jean Perruchoud wow that project does actually look interesting. Happen to know FPGAs that’s tested on? At one point they mention it running on an Artix7, and 3 different cyclones but that’s it. Been meaning to pick up an Artix board for when I’m not at work so I’m still using Spartan 6

  • @jeanperruchoud6763

    @jeanperruchoud6763

    6 жыл бұрын

    Was physicaly tested on ice40 hx8 break board, DE0-nano, DE1-SoC. But the RTL is compatible to xilinx stuff. I know that some people are using it on Artix :) The metrix that you can see on the readme are synthesis results.

  • @heater5979

    @heater5979

    5 жыл бұрын

    I would not go as far as to say don't learn Verilog or VHDL. But SpinalHDL brings HDL up to a whole new level of sophistication. Like going from assembler programming to C. If you really want Verilog of VHDL then Spinal generates both of them for you to admire. They are the assembly language on the way to being a bitstream for your FPGA or chip design. With Spinal's use of Verilator it makes it dead easy to create test benches. There is a reason the kids at Berkeley are working their RISC V magic in Chisle, which is very similar to Spinal.

  • @stefanogrillo6040
    @stefanogrillo60403 жыл бұрын

    Explanation is good but nobody want to see unpretty streamers face! sry bro.

Келесі