Hello world video using Xilinx Zynq, Vivado 2020, and Vitis

Walk through of creation of Hello World using Avnet minized board, Xilinx Zynq, Vivado 2020, and Vitis.

Пікірлер: 59

  • @michaelalex5235
    @michaelalex52352 жыл бұрын

    This should be a template for all instructional videos that try to address complex tools like Vivado and Vitis. Xilinx has a lot to learn when it comes to making videos explaining their tools to beginners, IMO. Thank you very much.

  • @hoangnguyenvan5677

    @hoangnguyenvan5677

    4 ай бұрын

    hello can u help me something with vitis

  • @lokeshprajapati6576
    @lokeshprajapati65763 жыл бұрын

    First tutorial so far that I could follow all the way through and everything works. Thanks for the video!

  • @srdjanbabic8304
    @srdjanbabic83042 жыл бұрын

    Thank you so much for this! I've had a look through at least 5 different tutorials until I saw yours and finally made my board do something!

  • @ayylien5934
    @ayylien59343 жыл бұрын

    Thank you so much for this tutorial, I've been completely clueless on how am I supposed to work with it and had no idea where to start. This tutorial was an incredible starting point and I'm very grateful I stumbled upon it.

  • @NicolauWerneck
    @NicolauWerneck3 жыл бұрын

    Thanks a lot for this video! I wanted to add a hint for newbies who may be struggling like I did: make sure your MiniZed boot mode is set to 'J' instead of 'F'!

  • @richardcai4921
    @richardcai49212 жыл бұрын

    This is just the video I need to figure out simply how vivado and vitis cooperate. Thank you very much.

  • @apprentice2101
    @apprentice21013 жыл бұрын

    Thank you very much for the video! It's very helpful. I wish I could upvote your video more than once

  • @86Carrera911
    @86Carrera911 Жыл бұрын

    Thanks so much for making this!!! I'm a complete n00b, but you got me started.

  • @emadmojaveri1404
    @emadmojaveri14043 жыл бұрын

    quick and very informative, thanks mate

  • @CyberKrish271
    @CyberKrish2713 жыл бұрын

    Great informative video for beginners.....

  • @kasperbuurvistesen1702
    @kasperbuurvistesen17023 жыл бұрын

    Thanks for this video. Very helpful

  • @dadominicanstyl
    @dadominicanstyl3 жыл бұрын

    Robert great video tutorial, thanks. :)

  • @Sandeep-tc1yv
    @Sandeep-tc1yv Жыл бұрын

    Thankyou very much, this video was extremely helpful.

  • @nielspaulin2647
    @nielspaulin26473 жыл бұрын

    Excellent!

  • @mbuaesenju8514
    @mbuaesenju8514 Жыл бұрын

    Very helpful. Thank you.

  • @yrm1594
    @yrm15943 жыл бұрын

    When it comes to actual hardware, how does C interact with the "hardware"? When I build something using vivado, where can I output/input 1/0 using C/Vitis?

  • @alexandrosanastasiou1964
    @alexandrosanastasiou19643 жыл бұрын

    Thank you so much!

  • @totolovesunsun
    @totolovesunsun2 жыл бұрын

    It is very helpful. Are you able to put together a video to show us how to utilize the GigiEnthernet on the board the Zynq board and use the Ethernet board to transmit data to another computer? Thank you for your time!

  • @paparoach3025
    @paparoach30252 жыл бұрын

    I’m using a Cora Z7 board and when I run the auto router in the block diagram it throws an error that the clk input is not connected to a valid source. The block diagram didn’t change the way yours did. Any idea what it could be?

  • @sevillajessahmae8109
    @sevillajessahmae81092 жыл бұрын

    Hi. can I ask where did the M_AXI_GPO_ACLK go??

  • @estebangabrielcabanillas7582
    @estebangabrielcabanillas7582 Жыл бұрын

    Thanks for your video, very usefull! Do you know the reason for Xilinx to switch SDK yo Vitis? It seems pritty much the same thing...

  • @Bwajster
    @Bwajster Жыл бұрын

    Does Vitis HLS v2022.1 support built-in HLS Functions such as hls::Threshold, hls::Erode, hls::Dilate, hls::Mul, hls::Duplicate, hls::MinMaxLoc, hls::CvtColor etc. ?

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c2 жыл бұрын

    why are there 2 board support packages in the video?

  • @MohdRizwanEC
    @MohdRizwanEC6 ай бұрын

    Is it work with picorv32 with some interconnect and peripherals ?

  • @chummyelec
    @chummyelec2 ай бұрын

    I have a question re: minute 20:00. You have got your board plugged in... How is it plugged on? How is the Hello World app downloaded to the board? Over USB/UART? Over Ethernet, where the so called agent on the remote board grabs the files sent and places them in a specific location and runs the app? Over JTAG interface? Cheers

  • @edgarmatzinger9742
    @edgarmatzinger9742 Жыл бұрын

    And if I don't want to use an IP? To just write VHDL and synthesize that (after providing a target chip of course)?

  • @rildank5557
    @rildank55573 жыл бұрын

    Hello, thanks for the video. Can you explain what are the differences between Xilinx SDK and Vitis ?

  • @spaceman1328

    @spaceman1328

    Жыл бұрын

    SDK is derived from xilinx vivado & vitis is followed from vivado 2019 .

  • @syedmraza99
    @syedmraza993 жыл бұрын

    Much Appreciated!! Helped me with Udemy Course 'Learn Fundamentals of FPGA and VHDL Development; Lecture 70

  • @user-tc9gn1zq4m
    @user-tc9gn1zq4m3 жыл бұрын

    Thanks

  • @danielbowman7507
    @danielbowman75072 жыл бұрын

    is there a tutorial to do this for linux platform, i keep getting a sd card error

  • @mihirvaghela2185
    @mihirvaghela21853 жыл бұрын

    I want to print hello world on third party simulator Xcelium which is available in vivado.. can you please tell me how to do that? Or can you provide me with any tutorial to do so?

  • @xEcko6
    @xEcko6 Жыл бұрын

    When attempting to Validate the Design, I keep getting the error ' [BD 41-758] The following clock pins are not connected to a valid clock source: /processing_system7_0/M_AXI_GP0_ACLK'. Any ideas?

  • @xEcko6

    @xEcko6

    Жыл бұрын

    In case anyone was wondering, if you have an 'M_AXI_GP0_ACLK' input pin, you need to connect that to the 'FCLK_CLK0' and that solves all your problems.

  • @felipeferreira1960

    @felipeferreira1960

    6 ай бұрын

    @@xEcko6 Thank you very much for your comment, it was very useful. Could you tell me the reason for this? Furthermore, I would be very happy if you have references to learn more about the platform. Thanks

  • @angelg3986
    @angelg39866 ай бұрын

    good video, but it doesn't tell about licensing - I changed the chip to Kintex UltraScale XCKU15P and it says I don't have license for HLS synthesis

  • @sebastainandexer5119
    @sebastainandexer51193 жыл бұрын

    Hello and thanks you for your videos on this channel. I'd like to learn the xilinx vitis software platform for programming software part and implementing the hardware part with it. Can you suggest me how to learn it and where should I start (like which user guides and ...).? Best regards

  • @robertswan8546

    @robertswan8546

    3 жыл бұрын

    I'd suggest getting a demo board, like the Avnet minized. I think its only around $100 USD, then just do what you are already doing watch the videos and start creating your own projects. I have to say that watching the video is helpful, but doing it yourself will make what you learn stick.

  • @sebastainandexer5119

    @sebastainandexer5119

    3 жыл бұрын

    @@robertswan8546 Thank you very much

  • @skabdulhaibasha3263
    @skabdulhaibasha32637 ай бұрын

    I want to recive gps data through uart on zed board. how to do that?

  • @user-ww2lc1yo9c
    @user-ww2lc1yo9c2 жыл бұрын

    You should have included a GPIO component in the tutorial so it would be even better!

  • @vijaydattu709
    @vijaydattu7092 жыл бұрын

    Hello sir,thanks for the video,how to work with lwip tcp server client application vitis in qemu emulator?if possible please tell us the procedure.

  • @alexandrosanastasiou1964
    @alexandrosanastasiou19643 жыл бұрын

    While connection to com4 is successful at this baud rate, the terminal prints nothing. Is there any suggestion?

  • @isidroy

    @isidroy

    3 жыл бұрын

    I had the same problem.. I closed Vitis, connected the board and open Vitis again. It worked for me.

  • @felipeferreira1960

    @felipeferreira1960

    6 ай бұрын

    @@isidroy , Did you manage to solve your problem? One question, did you use USB for debugging and another cable for USB-UART for UART communication on the terminal?

  • @andreigeorge8493
    @andreigeorge8493 Жыл бұрын

    hello, thank you for the tutorial! i keep having a problem when trying to create the hello world application. when i select the "Hello World" example, i get the following message: "This application requires a Uart IP in the hardware." i am using zybo 7z010 board. what am i doing wrong? should i add at the beginning a UART ip core in vivado block diagram or something like that?

  • @xEcko6

    @xEcko6

    Жыл бұрын

    Were you able to program the board? What version of Vivado were you using?

  • @andreigeorge8493

    @andreigeorge8493

    Жыл бұрын

    @Kiernan King 2019.2 i come back tomorrow with the details.

  • @kishoremulupuri113
    @kishoremulupuri1133 жыл бұрын

    Unable to create firmware project...

  • @steveandamyalso
    @steveandamyalso2 жыл бұрын

    You keep referring to IP. Internet Protocol? Intellectual Property?

  • @robertswan8546

    @robertswan8546

    2 жыл бұрын

    Intellectual property

  • @yonghongbai5023
    @yonghongbai50233 жыл бұрын

    I am using Vivado 2020.02. But there are no Zynq when I want to Add IP. Who know why?

  • @nihadferhatovic5192

    @nihadferhatovic5192

    3 жыл бұрын

    Maybe you missed something in the installation process. I installed it two-three days ago and it works perfectly fine.

  • @94raviteja
    @94raviteja2 жыл бұрын

    Great Tutorial. I Have some questions as I have recently purchased a KV260 board and am trying to port my code onto it. Do you have a discord where I can hit you up?

  • @no5x937
    @no5x937 Жыл бұрын

    @15:08 you Browsed your project folder for your design_1_wrapper.xsa file and it successfully opened with Operating System: standalone and Processor: ps7_cortexa9_0. Well, when I selected my design_1_wrapper.xsa file it took a while BUT both the Operating System and Processor were greyed out and blank. It would not let me continue. So, can I select a default XSA and which one? Or do I need to go back and fix something? Note: since my free Vivado 2021.2 ML Version failed with the MiniZed board I had to substitute the Zybo Z7-20 (xc7z020clg400-1) board, Report IP status, Upgrade Selected, Generate the Output products which worked, Run Synthesis, Implementation, and Generate Bitstream successfully, Export the Bitstream file to Target HW, selected Zybo Z7-20's XSA file created in my project folder, Exported HW Platform. Then I opened Vitis Tools > Launch Vitis IDE > Create New Platform > hello_world_platform > XSA File: design_1_wrapper.xsa > Open > Reading HW specification. Software specification: Operating System: greyed out Processor: greyed out Aby suggestions on how to remedy this problem? After further investigation, it appears you skipped a few steps in Synthesis because you did not use a MiniZed board Constraints file and did not set the I/O pins for this design application. So, go the following critical warnings: General Messages [Common 17-55] 'set_property' expects at least one object. ["c:/Users/..../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":33] [Vivado 12-1411] Cannot set LOC property of ports, Could not legally place instance design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF at E16 (IOPAD_X1Y108) since it belongs to a shape containing instance design_1_i/processing_system7_0/inst/PS7_i. The shape requires relative placement between design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF and design_1_i/processing_system7_0/inst/PS7_i that can not be honoured because it would result in an invalid location for design_1_i/processing_system7_0/inst/PS7_i. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":188] Design Initialization [Common 17-55] 'set_property' expects at least one object. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":33] [Vivado 12-1411] Cannot set LOC property of ports, Could not legally place instance design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF at E16 (IOPAD_X1Y108) since it belongs to a shape containing instance design_1_i/processing_system7_0/inst/PS7_i. The shape requires relative placement between design_1_i/processing_system7_0/inst/genblk13[31].MIO_BIBUF and design_1_i/processing_system7_0/inst/PS7_i that can not be honoured because it would result in an invalid location for design_1_i/processing_system7_0/inst/PS7_i. ["c:/Users/.../Documents/Xilinx/FPGA/Projects/RobertSwan/Hello_world_microzed/Hello_world_microzed.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc":188] So, do you have a recommended I/O Ports for the following this applications (86) signals? Thanks, JT