Gate-All-Around - The Future of Transistors

Ғылым және технология

What are GAAFETs and how does their shape change the future of transistors? // To find out more about ASM, go to lnk.bio/ASMOfficial
Follow me on Twitter: / highyieldyt
Become a supporter on Patreon: www.patreon.com/user?u=46978634
Asianometry GAA Video: • The Gate-All-Around Tr...
0:00 Intro
0:54 Field Effect Transit / 2D Planar Transistors
2:15 3D FinFET
3:17 Gate-All-Around FET
4:05 GAAFET Manufacturing
5:52 ASM / Atomic Layer Deposition (ALD)
7:30 GAA Process Nodes
7:58 Samsung SF3E GAA
9:20 Intel 20A & 18A RibbonFET
10:04 TSMC Nanosheets
11:07 GAA & The Future of Transistors

Пікірлер: 266

  • @xlerb1637
    @xlerb163723 күн бұрын

    Not an electromagnetic field, an electric field. An electromagnetic field always means photons (light, microwave, radio, etc.) no exceptions. That's why it has the "electro" part and the "magnetic" part; photons are an alternating electric field and magnetic field. Field Effect Transistors just use an electric field, that is, a charge. Nothing magnetic.

  • @HighYield

    @HighYield

    23 күн бұрын

    Of course, you are right. Thanks for the correction!

  • @PaulSpades

    @PaulSpades

    23 күн бұрын

    The EM field includes magnetic and electric oscillations, if you must call them photons, fine. Electric and magnetic are components of an EM field/wave, but they are not proportional. You can have electric effects without much magnetic effects and the other way around. The proportion of these components depends on geometry, material and other properties of the effector and medium. FETs don't generate MUCH of a magnetic efect, NOT none. Your comment is like the inverse of astronomers measuring magnetic fields in space and concluding that there's no electric current flowing because the magnetic effect is weak. Kilowatt lasers also generate next to no magnetic effects.

  • @xlerb1637

    @xlerb1637

    23 күн бұрын

    @@PaulSpades ? A kilowatt laser would have a kilowatt of magnetic flux (as well as a kilowatt of electric flux) but it would be nearly undetectable outside the photons. A FET generates no magnetic field, except a negligible field when the charge is impressed or removed.

  • @EntekCoffee

    @EntekCoffee

    23 күн бұрын

    ​​​@@PaulSpades That's a good analogy, but regardless, there should be a clear separation between electric, magnetic, and electromagnetic fields depending on context. In the context of FETs, I don't think electromagnetic and electric fields are interchangeable, but these mistakes happen.

  • @PaulSpades

    @PaulSpades

    23 күн бұрын

    @@xlerb1637 All true. A 1kw laser generates 0.00133 tesla when hitting a surface (assuming perfect absorption): a small bit stronger than a fridge magnet. The FET generates EM when switching(which should cause tiny EM ripples). But also caries electric current when conducting, and you can't have electric current without magnetic waves, as small as they are.

  • @amessman
    @amessman20 күн бұрын

    "The last time it happened was over a decade ago." _shows FinFET_ I'm getting old.

  • @satibel

    @satibel

    16 күн бұрын

    2014, that was like 2 years ago, no?

  • @blueboy3990
    @blueboy399023 күн бұрын

    Dang ASM looks so cool ! I am definitely buying one of their machines, but I'll have to wait till black friday for the discounts !

  • @HighYield

    @HighYield

    23 күн бұрын

    I should have a 10% code ;)

  • @1samm1

    @1samm1

    23 күн бұрын

    ​@@HighYield if you'd get a percentage of their sales - instantly made for life 😁

  • @karlstathakis7786

    @karlstathakis7786

    23 күн бұрын

    This is rapidly becoming one of the best channels on KZread.

  • @donsknots6510

    @donsknots6510

    21 күн бұрын

    We laugh but itd just get scalped ​@HighYield

  • @AnalogDude_

    @AnalogDude_

    18 күн бұрын

    lol

  • @IamTheHolypumpkin
    @IamTheHolypumpkin23 күн бұрын

    Wait, how do I notice this only now. You where my University Professor I took my "Wahlpflichtmodule" using FPGAs. Still the most fun modules I ever signed up for.

  • @Executor009

    @Executor009

    21 күн бұрын

    So is he German? I kinda thought that by the way he pronounced wafer.

  • @themuch21

    @themuch21

    16 күн бұрын

    ​@@Executor009 He sounds pretty german to me.

  • @kevikiru
    @kevikiru23 күн бұрын

    It's clear that High Yield's first language is not English yet he is so eloquent in speech. Even more interesting is the fact that he is speaking about complicated language to probably a technically competent audience but not very sophisticated but still very understandable. And he keeps attention without the repellent clickbait and over-hype. This is just lovely!

  • @andrebrait

    @andrebrait

    23 күн бұрын

    The fun part about being a 2nd language speaker is that you can feel absolutely confident in the domain you're used to and speak about it in detail... But then you can't ask someone to pass you the thingamajig at the table because you never used the what-you-may-call-it and it's right next to the thingy you absolutely know but never had encountered the translation before. I can talk about technical stuff in English better than I can in my native language, even 😅

  • @hornsteinhof7592

    @hornsteinhof7592

    23 күн бұрын

    Indeed. Is he German perhaps?

  • @TechOtaku86

    @TechOtaku86

    23 күн бұрын

    Not to be rude but this comment section gives me brainrot 💀

  • @m1ar1vin

    @m1ar1vin

    23 күн бұрын

    Yes

  • @kevikiru

    @kevikiru

    23 күн бұрын

    @@TechOtaku86 Maybe your brain was already rotting and you just realized now and having a knee-jerk reaction

  • @DigitalJedi
    @DigitalJedi23 күн бұрын

    Hello once again from Intel Foundries! I've been loving to see all the coverage these new nodes are getting. Intel has moved me on from 18A fully now that Panther Lake has powered on. I don't have all the info and can't give all of what I do have, but I'm happy to field questions again.

  • @eddiedoesstuff872

    @eddiedoesstuff872

    23 күн бұрын

    Always cool to see an expert in the comment section! Anyways, from what I’ve seen, every new transistor innovation just increases the amount of contact space between the channel and gate, but since we’re already surrounding almost every side of the channel, is this it for transistor design or are there other possible avenues to increase efficiency?

  • @vicktran669

    @vicktran669

    23 күн бұрын

    Is Panther Lake the successor to Arrow Lake or Lunar Lake?

  • @EntekCoffee

    @EntekCoffee

    23 күн бұрын

    I don't know whether or not this has been asked (or published) before, but I'm curious on how you guys build the metals of the M-I-S gates scalably. I work with Si fabrication too and I just couldn't imagine how you guys wrap the metal around a suspended channel like that, at a huge scale with good yields! Is ALD that good now?

  • @DigitalJedi

    @DigitalJedi

    22 күн бұрын

    @@eddiedoesstuff872 This isn't quite the end, but we are beginning to approach what I would consider an "endgame" transistor design under current manufacturing processes. BSPDN and other technologies to optimize other parts of the chip outside of the transistor scale are going to become very important, which is part of why intel is investing heavily in them now. Advanced packaging and power tech are likely going to be as big a part of a truly next-gen node as much as transistor density is. Being first to the market with combined BSPDN and GAAFET also means they have a headstart on an improved version, which is where the rubber really meets the road.

  • @DigitalJedi

    @DigitalJedi

    22 күн бұрын

    @@vicktran669 Ideally it succeeds both as an 18A product with new cores and Xe3. I sadly can't say more about it than is already out there.

  • @hytalefanboi7471
    @hytalefanboi747123 күн бұрын

    It's a good day when High Yield drops a new vid

  • @ADB-zf5zr

    @ADB-zf5zr

    23 күн бұрын

    Always.

  • @karlstathakis7786

    @karlstathakis7786

    23 күн бұрын

    Hell yes it is

  • @jemborg

    @jemborg

    21 күн бұрын

    Yerp

  • @bastiangugu4083
    @bastiangugu408323 күн бұрын

    Great shoutout to Asianometry. His videos are always very interesting, not only on semiconductors. 🙂 But I'm also very glad to have found your channel. It was through a video by Tom from MLID. So the journey continues.

  • @Asianometry

    @Asianometry

    13 күн бұрын

    You’re too kind

  • @JorenVaes
    @JorenVaes23 күн бұрын

    I'm working on a GAA tapeout right now. From an analog designer's perspective, the transistors perform great, but my god the ever increasing layout rules a nightmare. In addition, the lack of multiple oxide thicknesses makes anything that faces the IO a significantly higher challenge. In all honesty, I think back-side power will have a significantly higher impact on performance than the move to GAA.

  • @HighYield

    @HighYield

    19 күн бұрын

    You think software/EDA tools will at some point take over when it comes to chip design? Like no more humans needed?

  • @JorenVaes

    @JorenVaes

    19 күн бұрын

    ​@@HighYield I don't know to be honest. You already see this in digital design - more and more of the design is shifted to 'programming'-like, with HDL and such. That said, the standard cells are still often done by hand. In analog, it's a mixed bag. You do see companies trying to push this - though in most cases it is from a 'design-portability' perspective - being able to easily migrate a design from 16 to 10 to 7 to 5 nm, or make small variations (more output driver power, higher current capacity of an LDO, etc). But, at least as far as I understand it, you still do use a lot of manually designed. Even if they are just generation scripts, the best trade-offs in those rules are made by thinking and designing 'the old fashioned' way. When it comes to high speed analog, it is similar, though I think as you go higher and higher in frequency, I think you see less and less automation, as there is more 'fingerspitzengefühl' involved in the desing process. The few attempts I've seen to fully automate the design process have been mixed. I imagine AI will eventually get there, but I don't see it happening in the next 10 years. That said, if you asked me 10 years ago if I would be able to have a pretty convincing conversation with a chatbot by openAI about the intrecacies of millimeter-wave design, I would have laughed you out the room but here we are. ---- What I can say is that with these new nodes, the layouts for analog are starting to look more and more like digital design. Where it used to be you really could tell the individual transistors and so on, you now see more and more that people just create a sea of transistors, all next to each other in a huge grid, and then are connected. In the most recent nodes (like 10 and below) you are pretty much forced to do this, as the multiple-patterning required for forming the gates requires huge repetitive patterns. Usually, these designs also use negative layers, called cut masks - you have huge poly or metal lines that are like 5 um long (which is massive compared to anything else in the FEOL in a 10 nm technology), and then you have a pattern of 'cuts' every 200 or 300 nm that defines your standard cell hight. You also don't get to just chose a transistor width or length - you might have one or two lengths, and two or three widths to chose from. Want a wider transistor? Put more in parallel. Want a longer one? Put them in series and pretend it is a longer gate.

  • @sokiuwu
    @sokiuwu19 күн бұрын

    Can't Wait for 4D transistors

  • @IDTT137

    @IDTT137

    17 күн бұрын

    They'd probably find a way atp

  • @Asianometry
    @Asianometry13 күн бұрын

    4:43 ❤ High Yield is by far the most handsome and smartest of us two. Don’t be deceived!!!

  • @christophermullins7163
    @christophermullins716320 күн бұрын

    When you said "thats the 'field effect'" many things vame into perspective for me. You do such a great job explaining these topics. ❤❤❤❤❤

  • @HighYield

    @HighYield

    20 күн бұрын

    Awesome, thank you! Really helps getting that feedback :)

  • @sgt_mate
    @sgt_mate23 күн бұрын

    Took me a few minutes to bring back my knowledge on fet but I think I got it now. Thanks for bringing back and enriching my knowledge.

  • @MFMegaZeroX7
    @MFMegaZeroX723 күн бұрын

    While certainly unproven, Rapidus is also supposed to start producing 2nm in 2027 presumably using GAAFETs. It would be interesting to have 4 cutting edge foundries if they can do it.

  • @shmookins
    @shmookins23 күн бұрын

    Ah, you abruptly stopped at the forksheet/fork design. I was so engrossed in the whole video and was very curious about this new fork method. Excellent video, friend. I'm off to look for this fork idea.

  • @HighYield

    @HighYield

    22 күн бұрын

    Definitely a future topic!

  • @karlstathakis7786
    @karlstathakis778623 күн бұрын

    This is rapidly becoming one of the best channels on KZread

  • @dirg3music

    @dirg3music

    23 күн бұрын

    Yeah these hardware deep dives are incredible

  • @Vinzmannn
    @Vinzmannn18 күн бұрын

    I think this was a nice explanation. Also really nice of you, shouting out a much smaller youtuber like asianometry.

  • @paulnewhouse5126
    @paulnewhouse512623 күн бұрын

    Good to see you on Moore's Law is dead man! Hope to see more content from you soon.

  • @oIdspice
    @oIdspice22 күн бұрын

    feed me transistor knowledge and I shall consume

  • @shuginubi
    @shuginubi20 күн бұрын

    Just thinking about the indomitable human spirit willing to take on such an immense task as wrapping those tiny channels. Just mind blowing.

  • @EntekCoffee
    @EntekCoffee23 күн бұрын

    Nice video! One detail you might have missed is that in GAA, assuming that it's a perfect structure, you can have multiple different FET channels coupled together by one gate. This could be handy in many situations. If individual channel growth is controllable enough, you can also have multiple independent FETs in the same area of what used to be one FinFET. This would increase transistor density exponentially. Plus, you can also make FETs that interacts with each other vertically through simple or even quantum (!) interaction! It's Sci-Fi for now but many research labs are very successful in these already (especially in sub LN2 temperatures). However, the issue is with cost (which implies simplicity). The very reason why Silicon has been the material we all know is that we can get away with as little epitaxial growth as possible. It is the jack of all trades that is extremely cheap and easy to work with. Consequently, the traditional "silicon planar process" never included any epitaxial growth, except for the metal parts. Only etching, doping, oxidation, and lithography was needed.

  • @rogerhuston8287
    @rogerhuston828723 күн бұрын

    GAA marks a significant step, but I'm a bit more excited about adding back side power delivery to the process which should also help with manufacturing.

  • @elaijah4622
    @elaijah46223 күн бұрын

    Getting sponsored by ASM is uniquely crazy

  • @PushyPawn
    @PushyPawn17 күн бұрын

    Much respect for all the clever silicon boffins who are keeping Moore's Law alive, albeit at a more reasonable pace. Now to securely plug all the holes and stop current and future IP from leaking to the CPP and terror-ruzzia.

  • @nikbl4k
    @nikbl4k23 күн бұрын

    Hey, still very informative. Thank you, keep making videos... Its illuminating to learn these thinhs.

  • @timl2k11
    @timl2k1114 күн бұрын

    Like that it’s not just a disembodied voice in this video.

  • @HighYield

    @HighYield

    12 күн бұрын

    Maybe I'm just a clever AI?

  • @MrJonaslaCour
    @MrJonaslaCour23 күн бұрын

    Kudos for giving credit to other KZreadrs where it is due

  • @pneumavlr
    @pneumavlr23 күн бұрын

    Asianometry face reveal????

  • @Nuiiiiiiiiii
    @Nuiiiiiiiiii23 күн бұрын

    I really like this channel, you do an excellent work searching and explaining this complex subjects for people like me that are not engineers. I think majors improvements like use to be in the 2000's/2010's aren't posible anymore but, they really not surrender xD.

  • @HighYield

    @HighYield

    22 күн бұрын

    My goal is to focus more on KZread in the future, because it’s difficult to produce quality videos alongside a normal job.

  • @a.m.g.r7804
    @a.m.g.r780423 күн бұрын

    Thank you for sharing this in such a summarized and collective manner. I have always been fascinated with technology on a fundamental level of how everything actually works and is manufactured, including trying to understand what’s coming around the corner. I’m in real estate but always wanted to get into IT as a Sys and network engineer and to advise a corporation in which platform to invest in for its back end servers, I remember seeing AMD at 3$ and I told my boss tell the owner (who also has a investment division) to invest in AMD way back in 2016 since I knew AMD will be getting back into the game now it’s at 162.

  • @Techaktien
    @Techaktien14 күн бұрын

    Excellent Video. Thank you.

  • @PeterRince
    @PeterRince23 күн бұрын

    Interesting stuff. Thanks!

  • @diraziz396
    @diraziz39619 күн бұрын

    Great coverage Thanks

  • @varno
    @varno23 күн бұрын

    I would argue that the dhift from ttl to mos, the move from nmos to cmos, and the introduction of deep trench isolation were all significant structural changes to ic production, but conceed that the move to progressively more 3d transistor channels has only happened twice now.

  • @boazbrisker81
    @boazbrisker8118 күн бұрын

    Great video 🙏🏻

  • @greebottle
    @greebottle23 күн бұрын

    Great video! Does forksheet gives a sufficient improvement for a standalone node, or will it be more of a half-node at best or perhaps the industry will transition from GAAFET straight to CFET?

  • @Behnam_Moghaddam
    @Behnam_Moghaddam23 күн бұрын

    Thank you for yet another very informative video! btw: Zollstöcke geben vorzügliche Lav-boom-arms ab, falls die Lust zum mikrofesthalten mal vergehen sollte.

  • @kellymoses8566
    @kellymoses856614 күн бұрын

    Can't wait for a 18A gate all around chip with backside power delivery

  • @gustavoromo6879
    @gustavoromo687922 күн бұрын

    How different will the code be?

  • @AnimaChronix3
    @AnimaChronix320 сағат бұрын

    Love ASM/ASML !

  • @azamatbezhan1653
    @azamatbezhan165321 күн бұрын

    How do you think, when forksheet fet with Full bottom dielectric isolation will come. Impact of self heating effect in bottom dielectric isolation is not overcome

  • @Jaker788
    @Jaker78823 күн бұрын

    What about contact over active gate? Is that the same concept as this or is it something else? From what I understand, Intel tried it initially on 10nm but dropped it due to yields among other tech that wasn't working.

  • @AdvantestInc
    @AdvantestInc21 күн бұрын

    The discussion on the challenges and benefits of Gate-All-Around transistors highlights the industry's ongoing innovation. The transition from FinFETs to GAA transistors is crucial for advancing power efficiency and performance. It will be interesting to see how leading companies drive this technology forward.

  • @ADB-zf5zr
    @ADB-zf5zr23 күн бұрын

    I would like to see Mr High Yield do some more collaborative work / discussions with other people. I have watched two with MLID (Moore's Law Is Dead) and IMHO they are two of the best three videos of MLID's discussion videos I have watched. Your ability to describe and to teach is IMHO excellent. Now onto the video talking about the primary change that chip production is (forecast) to face for 10-years (which is huge compared to when chips (semiconductor transistors) were first created back in the 70's), this IMHO is going to be a massive change and it is not just down to who is fabricating the chips, far from it, it is an absolute foundational change in chip design and if will be a bumpy ride for a while as chip designers fall into unknown traps, and others dodge them by luck. The engineers will learn and in a way, those coming in second with a simple product will likely win heavily as they gain from others mistakes and make none themselves. As for the big companies, what will happen over the next few years may force a company into destruction, or create the perfect conditions for a revolutionary product to soar. As is always the case in this industry, the future looks to be very interesting, and as GAA spreads, morphs, and vartious versions are designed and rtested by various companies, they themselves will be ironing out the bumbs as they release products, so as always, consider product one to be a comercial scale test and proof of concept whilst putting a new product to market and having 100 refinements happening simultaneously, but it will take a fundamental (revolutionary) change in design and/or manufacture to make the proof of concepts into a tuned and refined product likely sometime in 2025 this iteration of GAA will become fruitful at the msaa market level, what comes next will likely be the refined version and offer real world benefits that bring this new manufacturing technology to the masses.

  • @How23497

    @How23497

    23 күн бұрын

    Collabs would be great, but MLID is a clown, has he even got anything correct on release?

  • @crysed7897

    @crysed7897

    23 күн бұрын

    MLID.. Oh no please

  • @LeonardTavast

    @LeonardTavast

    23 күн бұрын

    @@How23497 Yep. TechTechPotato and Asianometry are much better youtubers than MLID.

  • @noobgamer4709

    @noobgamer4709

    22 күн бұрын

    @@How23497 and have you even got any info not from leaker that true on release. that guy got somethings wrong but not sure a clown cause the only one i see here is you. guy got 10% right and 90% wrong are still better than 0% right and wrong. Leaks meant to change even till the last millisecond before the company present/release/launched. youre probably from wccftech and hey, your next rtx5090 can be 1599$ till jensen decided to change to 2000$ before telling the price

  • @cube2fox
    @cube2fox22 күн бұрын

    I believe it was around the introduction of FinFET when the trend of exponentially decreasing cost per transistor broke. The introduction of GAA and backside power delivery could also have a substantial impact on manufacturing cost.

  • @PreacherGannon
    @PreacherGannon18 күн бұрын

    If the gate wraps around 3 channels, and is energized to create a field to allow the channels to flow... how do you make 1 channel flow while the adjacent stacked channel cannot flow? Do you have to time it so all 3 channels can only open at the same time and like ... idk air traffic control bits so all 3 channels only open for flow at the same time but only the 1 channel you care about at this 'moment' is energized to transmit by a preceding gate? (where a moment is some insanely small fraction of a second)

  • @benbreeck3363
    @benbreeck336322 күн бұрын

    Hmm.. I will stipulate that Gate All Around FET is the cutting edge and future of semiconductor transistor design, but I wonder what benefits the design method would be/would have been realized on larger, back endof the line nodes. Fin FET designs seemed to mean denser interconnects beteen transistors for a given node and density. Am i reading tnis correctly?

  • @AlexK-jp9nc

    @AlexK-jp9nc

    22 күн бұрын

    He mentioned it in passing but it seemed like there's now the ability to make 3-D chips that use the vertical direction in many layers. Basically a cube instead of a wafer

  • @stefanweilhartner4415
    @stefanweilhartner441518 күн бұрын

    it will be interesting if this also brings advantages for power transistors. less resistance, higher switching speed?

  • @alphaspace1100
    @alphaspace110023 күн бұрын

    Always a pleasure

  • @tommihommi1
    @tommihommi123 күн бұрын

    the changes to power delivery that are coming alongside the switch to GAA might have a at least as big an impact

  • @kuuhgle
    @kuuhgle22 күн бұрын

    Does ASML still make the machines for this new tech?

  • @user-zo1kn8ob7h
    @user-zo1kn8ob7h16 күн бұрын

    also Understanding error handling may be a significant difference between the easy perception of an action between an automated source and non-automated source.

  • @TCruh
    @TCruh13 сағат бұрын

    You missed the chance to say "And this is a very Intelesting one..." on 9:21

  • @kurtu5
    @kurtu519 күн бұрын

    FinFET? Well, guess I was paying that much attention to solid state physics for the last 15 years? And now this, and then that? Nice.

  • @MarkBarrett
    @MarkBarrett23 күн бұрын

    They are going to hit a limit on the nano-meter scale node shrinks, that an atom is around 0.3nm.

  • @naikrovek
    @naikrovek20 күн бұрын

    this is a fantastic video, but why are you *holding* a clip-on lapel microphone?

  • @playbutton108
    @playbutton10817 күн бұрын

    i have two questions 1. Are we sure that transistor are working like transistor at nm level . I have a theory that they are working like vacuum tubes now current heats up the gate and current flow. dielectric changes into conductive. 2. why don't we make just create small vaccum tube or transistor like concentric circles .vaccum tubes will have 4 layers and transistors will have 3 layers.

  • @dgillies5420
    @dgillies542023 күн бұрын

    40 years ago in VLSI for poets class I was told that the electric field draws electrons and holes up from the semiconductor substrate allowing current to flow in the channel. I don't understand where those mobility carriers come from in GAA ...

  • @EntekCoffee

    @EntekCoffee

    23 күн бұрын

    With masking you can selectively dope the nano-scale channel with acceptor, donor, or both atoms. If you apply enough electric field, you can deplete, accumulate, or invert the channel, increasing/decreasing its conductivity. The same thing happening in a regular FET.

  • @bob450v4
    @bob450v423 күн бұрын

    Yay new vid

  • @Bleats_Sinodai
    @Bleats_Sinodai21 күн бұрын

    In the end, it all goes back to the vacuum tube 😊

  • @maynardburger
    @maynardburger23 күн бұрын

    Pretty sure Arrow Lake S and mobile is still this year, so Intel should probably be the first manufacturer to offer a consumer/mainstream product using GAA. That said, 20A which is expected to be used for just the CPU die, is likely gonna be in a very '1st gen' phase, so might not really show off what it can do. 18A is basically what they'd have previously called 20A+, and that's likely where we'll see Intel and GAA/BSPD starting to really demonstrate the advantages and get back into a proper competitive position.

  • @Ghostsonplanets

    @Ghostsonplanets

    23 күн бұрын

    Arrow Lake 20A is only Desktop 6+8. Everything else is TSMC N3B. And ARL Mobile is CES 25

  • @Redtoad1234
    @Redtoad123422 күн бұрын

    It's interesting to see Dutch equipment suppliers advertising to the public so much. I assume they're just trying to juice their stock or looking for more subsidies from the EU/Dutch government.

  • @NootNoot.
    @NootNoot.23 күн бұрын

    Wah, different upload time. Looking forward to watch this one, a hotly anticipated topic with 20A nearing!

  • @HighYield

    @HighYield

    19 күн бұрын

    Last time was in Taiwan, this time I'm in Germany again.

  • @NootNoot.

    @NootNoot.

    19 күн бұрын

    @@HighYield Haha, well that explains the background change and lavalier mic

  • @TechOtaku86
    @TechOtaku8623 күн бұрын

    Man, it wasnt long ago when 14nm was revolutionary for using FinFet technology, now we will be switching to a new design again. Moore's law might be dead but not technological advancement

  • @Artofficial1986
    @Artofficial198623 күн бұрын

    I love the NHI tech

  • @johnmanderson2060
    @johnmanderson206023 күн бұрын

    Don’t hold your mic, attach it to your t-shirt collar and run the cable inside the t-shirt. It will free your hands for gestures when talking.

  • @HighYield

    @HighYield

    22 күн бұрын

    It’s not my usual setup, I recorded in my GFs apartment. And I didn’t want to spend the extra time setting it up 🫣

  • @kineteks77

    @kineteks77

    17 күн бұрын

    Or, do whatever you want. Good video, sounds great.

  • @enrac
    @enrac22 күн бұрын

    Is ASM the same as ASML

  • @my0wn0p1n10n

    @my0wn0p1n10n

    22 күн бұрын

    No different companies, but they have historically the same roots -> Philips

  • @enrac

    @enrac

    22 күн бұрын

    @@my0wn0p1n10n Ah okay, thank you. I was looking for ASM's stock symbol, can't seem to find it, the only other ASM is some mining company.

  • @HighYield

    @HighYield

    20 күн бұрын

    They are not, but way back in the 80s ASM and Philips founded ASML. Tho today they are different companies.

  • @quegyboe
    @quegyboe22 күн бұрын

    My guess for the leading SoC being made by Samsung is their next Exynos 2500.

  • @zizimugen4470
    @zizimugen447018 күн бұрын

    0:44 it’s 2024. Why are you holding that mic that you bought with a clip that attaches to the inside of your shirt?

  • @MarkBarrett
    @MarkBarrett23 күн бұрын

    It is the surface area that they want.

  • @tomstech4390
    @tomstech439023 күн бұрын

    Waiting for foam transistors now.

  • @Ishpreetb264
    @Ishpreetb26415 күн бұрын

    Samsung is rumoured to use SF3 based chips in the galaxy watch 7 series.

  • @user-zo1kn8ob7h
    @user-zo1kn8ob7h16 күн бұрын

    I mean if we can place atom by atom, but what does it mean to have an arm?

  • @eruiluvatar236
    @eruiluvatar23623 күн бұрын

    As they are growing them layer by layer I wonder if there is anything stopping them from growing more than one layer of transistors achieving true 3D. That would allow them to push density per mm^2 when making smaller transistors is no longer possible and thermally it would be better than current stacking solutions, specially if they tune the transistors for efficiency instead of speed and go for way more transistors as a trade off.

  • @jannegrey593

    @jannegrey593

    23 күн бұрын

    They already do that - at least sort of. Even with etch it is doable. After all we moved to this (couple layers of transistors on top of each other) a long time ago. This is what decoupled "nanometers" from gate size.

  • @davidgunther8428

    @davidgunther8428

    23 күн бұрын

    They do this with NAND flash memory, but I think the transistor quality is not good enough for high performance logic.

  • @jamegumb7298
    @jamegumb729822 күн бұрын

    The real shift I think will come with the integrated tiny capacitors they recently came up with. Another: optical interconnects, like the experimental Intel 4Tbit one. PCIe over 100m.

  • @adrianoolivares7851
    @adrianoolivares785116 күн бұрын

    its looks like a heat sink. something that will improve conductivity and temperature control or power management would be nanotubes made out of graphene. Tout l'amour biche🖤

  • @user-me5eb8pk5v
    @user-me5eb8pk5v23 күн бұрын

    Should call it channels so you don't have to guess the grey piece does work, like the old ship yards.

  • @benzed1618
    @benzed161823 күн бұрын

    OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOoooooooooooooooooooooooooooooooooooooooooooooooooooooo

  • @Illumina_Blade
    @Illumina_Blade23 күн бұрын

    What's all this about Trains?

  • @HighYield
    @HighYield19 күн бұрын

    Seems like Samsungs "mystery" first GAA chip will be the Exynos W1000 for the next Samsung Watch: semiconductor.samsung.com/processor/wearable-processor/exynos-w1000/

  • @novantha1
    @novantha123 күн бұрын

    While very technically interesting, I wonder if it's a true advancement, or an extension of existing technology. What I mean, is that for instance, a 14nm product is fine, and, all other things being equal, you would expect a 7nm product to be twice as good. Surely. But if the cost per transistor is analogous then when moving to the 7nm product you either get something half the size at the same price, or you get something better at a higher price. The manufacturing around GAA transistors sounds really expensive. I wonder if there will be gains that trickle down to us humble consumers.

  • @user-zo1kn8ob7h
    @user-zo1kn8ob7h16 күн бұрын

    Does this reinstate moores

  • @David-ty6my
    @David-ty6my21 күн бұрын

    A German talking to the camera in english so that I, another German can understand it ❤😂

  • @HighYield

    @HighYield

    20 күн бұрын

    Und es klappt auch noch 😄

  • @user-zo1kn8ob7h

    @user-zo1kn8ob7h

    16 күн бұрын

    hello. calls i him. goes good you? have you yet the video liked? hears and sees me good this video! yes yes. meatstick haha

  • @arianamirgholami9555
    @arianamirgholami955523 күн бұрын

    9:07 pretty sure it's the next exynos chip but because of yield problems most likely it won't find its way into next flagships

  • @HighYield

    @HighYield

    22 күн бұрын

    Good guess, makes a lot of sense.

  • @M4xFr4gg

    @M4xFr4gg

    20 күн бұрын

    ​@@HighYieldI would guess, it's the next Google SOC. Clearly shared heritage and as such probably easiest to adapt to new Samsung processes, but given Google's market position not as much volume as the Samsung Galaxy S devices.

  • @user-zo1kn8ob7h
    @user-zo1kn8ob7h16 күн бұрын

    9:00 Qualcomm, Snapdragon what's that other one was tryna be a desktop laptop tablet phone chip?...

  • @supernovahm1178
    @supernovahm117822 күн бұрын

    When I was like 8 I thought that "fin" FET's were named as such, as a kind of mispronunciation of "thin". Stupid sure, but I was young - and as a result I have always been aggravated by the name.

  • @wile123456
    @wile12345623 күн бұрын

    I'm guessing the mobile chip at Samsung foundry is an exynos chip by Samsungs mobile division. I doubt apple would use Samsung, since they have premium relationship with TSMC newest nodes. Qualcomm also uses TSMC. Samsung has fumbled their nodes a lot the past 5 years, being a generation behind TSMC in effeciency, the snapdragon 8 gen 1 etc was a failure of a chip, having worse effeciency curve than the previous 888 chip, and only getting more peak performance because they raised the wattage

  • @Matthew-ld9wx
    @Matthew-ld9wx23 күн бұрын

    lunar lake will be based on back side power delivery

  • @maynardburger

    @maynardburger

    23 күн бұрын

    Lunar Lake is all TSMC(3nm and 6nm, I believe). There is no BSPD. Arrow Lake is expected to have Intel 20A process for CPU die though, which is GAA.

  • @DigitalJedi

    @DigitalJedi

    23 күн бұрын

    18A team here. LNL is on TSMC 3nm, so no BSPDN. Arrow Lake will have both TSMC 3nm and Intel 20A tiles, the latter having BSPDN.

  • @JorgetePanete
    @JorgetePanete19 күн бұрын

    3:45 Intel's*

  • @backpackly
    @backpackly23 күн бұрын

    First! Extremely well done video, was excited to see it come out just as I was looking for something to watch.

  • @skayakitty625
    @skayakitty62523 күн бұрын

    woa🎉😮

  • @Alorand
    @Alorand20 күн бұрын

    First time I don't get how the sponsor (ASM) expects me to act due to their sponsorship. Are KZread viewers going to buy a Fab?

  • @HighYield

    @HighYield

    20 күн бұрын

    While you could buy a ASM Atomic Layer Deposition tool for your living room, I think it’s more about brand recognition. Now you know them and what they do. Plus, you know they do really cool stuff.

  • @sunefred
    @sunefred23 күн бұрын

    Now that the transistors are deposited and no longer masked or etched from the wafer, why do we even need silicon wafers? Could they not be made of anything really?

  • @pettanshrimpnazunasapostle1992

    @pettanshrimpnazunasapostle1992

    23 күн бұрын

    Silicon is used for its semiconductor property and abundance compared to other semiconductors. Not just because it can be etched into easily

  • @sunefred

    @sunefred

    23 күн бұрын

    @@pettanshrimpnazunasapostle1992 But thats my point, the semiconductor properties were useful when being doped by gallium or germanium to create the transistor. Now, with the transistor no longer being created from the wafer material, whats the point?

  • @user-zo1kn8ob7h
    @user-zo1kn8ob7h16 күн бұрын

    ald in biology?

  • @theminer49erz
    @theminer49erz15 күн бұрын

    Intel is pushing it with trying to do both of these at once. Their Exes much live with their fingers crossed. There is a lot that can go wrong. Look what happend with Arc and even AMD had issues with RDNA3. I would like to see decent improvements, but the software, at least for gaming, is falling way behind what we have currently. It would be nice to see some that can efficiently take advantage of the PC hardware we have...and have had instead of being focused on the low end hardware that is used in PS and XB consoles. 🤞🏽

  • @satibel
    @satibel16 күн бұрын

    my guess would be an exynos chip, as samsung would be able to have the most experience with their own process

  • @HighYield

    @HighYield

    16 күн бұрын

    Yes, it seems to be the Exynos W1000 for the next Galaxy Watch.

  • @omid4861
    @omid486119 күн бұрын

    Are u germany ?? Ich glaube ich kanns hören ;-)

  • @HighYield

    @HighYield

    19 күн бұрын

    Psst, nicht weitersagen.

  • @suhaimiseliman8593
    @suhaimiseliman859318 күн бұрын

    READ....ONLY 1 CHARGE LEVEL. WHAT'S THE ADVANTAGE OF HAVING > 1 OF THRESHOLD VOLTAGE IF WE CAN JUST INCREASE THE THE THICKNESS OF DIELECTRIC SO THAT GATE CAN HOLD HIGHER CHARGE LEVEL😊

  • @vasoconvict
    @vasoconvict20 күн бұрын

    Why would ASM sponsor this video knowing very damn well that 0 people can afford it?

  • @HighYield

    @HighYield

    19 күн бұрын

    Brand recognition and so ppl know what they do I guess. Anyways, super cool sponsor because I'm not shilling something to my audience and ASM has some really incredible technology.

  • @vasoconvict

    @vasoconvict

    19 күн бұрын

    @@HighYield Makes sense. Was on less brain power when I wrote that.

  • @Mikktor
    @Mikktor19 күн бұрын

    I'm sorry but isn't it an electrostatic field and not an electromagnetic field? Electromagnetic would imply moving electrons.

Келесі