Compiling and simulating VHDL with GHDL

Ғылым және технология

Compile a VHDL component (a full adder) and its testbed; create a simulator & run it. View the results in GTKWave.
There are several mistakes, and pauses for thought which are left in the video.
This is GHDL 3.0.0 running on MSYS2, on WIndows 10, using Notepad++ to edit the VHDL code. Notepad++ has syntax highlighting for VHDL (as well as many other languages.
Videos on this channel are intended to support students work at the University of Westminster. They may or may not apply to your installation.

Пікірлер

    Келесі